VHDL-T - translation to Αγγλικά
Diclib.com
Λεξικό ChatGPT
Εισάγετε μια λέξη ή φράση σε οποιαδήποτε γλώσσα 👆
Γλώσσα:

Μετάφραση και ανάλυση λέξεων από την τεχνητή νοημοσύνη ChatGPT

Σε αυτήν τη σελίδα μπορείτε να λάβετε μια λεπτομερή ανάλυση μιας λέξης ή μιας φράσης, η οποία δημιουργήθηκε χρησιμοποιώντας το ChatGPT, την καλύτερη τεχνολογία τεχνητής νοημοσύνης μέχρι σήμερα:

  • πώς χρησιμοποιείται η λέξη
  • συχνότητα χρήσης
  • χρησιμοποιείται πιο συχνά στον προφορικό ή γραπτό λόγο
  • επιλογές μετάφρασης λέξεων
  • παραδείγματα χρήσης (πολλές φράσεις με μετάφραση)
  • ετυμολογία

VHDL-T - translation to Αγγλικά

HARDWARE DESCRIPTION LANGUAGE
VHDL-93; Vhdl; IEEE 1076; VHSIC hardware description language; Vhdl 87; Vhdl 93; VHSIC Hardware Description Language; Very High Speed Integrated Circuit Hardware Description Language; VHDL 87; VHDL 93; VHDL-200X
  • adder]]

VHDL-T      

общая лексика

[тестовый] язык VHDL-T

подмножество языка VHDL для [автоматической] генерации тестов, тестовое подмножество языка VHDL

VHDL         

общая лексика

Very high speed integrated circuit Hardware Description Language

язык VHDL

ЯВУ, разработан в 80-е годы по заказу МО США (стандарт IEEE 1076), имеет Ada-подобный синтаксис. Позволяет описывать одновременные события, поэтому получил широкое распространение в САПР электронных схем

IEEE 1076         

общая лексика

стандарт IEEE на язык VHDL

Ορισμός

т
Т, согласная буква те, твердо, 19-я в азбуке (в церк. 20-я); в церковном счислении: Т триста, триста тысяч, в круге, и в точках, см. А
. ·сокр. т. е., то есть; т. к., так как; и т. д. и так далее; и т. п., и тому подобное; т., том; тысяч.

Βικιπαίδεια

VHDL

The VHSIC Hardware Description Language (VHDL) is a hardware description language (HDL) that can model the behavior and structure of digital systems at multiple levels of abstraction, ranging from the system level down to that of logic gates, for design entry, documentation, and verification purposes. Since 1987, VHDL has been standardized by the Institute of Electrical and Electronics Engineers (IEEE) as IEEE Std 1076; the latest version of which is IEEE Std 1076-2019. To model analog and mixed-signal systems, an IEEE-standardized HDL based on VHDL called VHDL-AMS (officially IEEE 1076.1) has been developed.

VHDL is named after the United States Department of Defense program that created it, the Very High Speed Integrated Circuits Program (VHSIC). In the early 1980s, the VHSIC Program sought a new HDL for use in the design of the integrated circuits it aimed to develop. The product of this effort was VHDL Version 7.2, released in 1985. The effort to standardize it as an IEEE standard began in the following year.

VHDL, developed in 1983 at the request of the U.S. Department of Defense, is used to document and simulate the behavior of ASICs in electronic equipment. The language has undergone multiple revisions and has numerous sub-standards associated with it that extend its functionality. VHDL borrows heavily from the Ada programming language in terms of syntax and concepts.

The initial version of VHDL (IEEE 1076-1987) included a wide range of data types. Subsequent updates and child standards have further extended the language's capabilities. In 2008, VHDL 4.0 (informally known as VHDL 2008) was approved, addressing issues from the trial period of version 3.0 and enhancing generic types.

VHDL is commonly used to write text models that describe logic circuits, which are then processed by synthesis programs and tested using simulation models in a testbench. The language has constructs to handle parallelism inherent in hardware designs and includes features specific to hardware operations. Although VHDL can be used for text processing, it is more commonly utilized in simulation testbenches for stimulus or verification data.

VHDL is used for system design, modeling, and verification before synthesis into hardware. It allows concurrent system descriptions and is considered a dataflow language with simultaneous statement execution. VHDL projects are multipurpose, portable, and have a full type system. Designs consist of an entity (interface) and architecture (implementation). VHDL is used for simulation and synthesis of electronic designs, with a common synthesizable subset. However, not all constructs are suitable for synthesis, and some are simulation-only.

Μετάφραση του &#39VHDL-T&#39 σε Ρωσικά